headshot of Youtao Zhang

Youtao Zhang

Professor

about

Ding, S., Hu, S., Li, X., Zhang, Y., & Wu, D.D. (2022). Leveraging Multimodal Semantic Fusion for Gastric Cancer Screening via Hierarchical Attention Mechanism. IEEE Transactions on Systems, Man, and Cybernetics: Systems, 52(7), 4286-4299.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/tsmc.2021.3096974.

Chen, Z., Zhang, Y., & Xiao, N. (2021). CacheTree: Reducing Integrity Verification Overhead of Secure Nonvolatile Memories. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 40(7), 1340-1353.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/tcad.2020.3015925.

Wang, H., Ding, S., Li, Y., Li, X., & Zhang, Y. (2021). Hierarchical Physician Recommendation via Diversity-enhanced Matrix Factorization. ACM TRANSACTIONS ON KNOWLEDGE DISCOVERY FROM DATA, 15(1), 1-17.Association for Computing Machinery (ACM). doi: 10.1145/3418227.

Yue, Z., Ding, S., Li, X., Yang, S., & Zhang, Y. (2021). Automatic Acetowhite Lesion Segmentation via Specular Reflection Removal and Deep Attention Network. IEEE J Biomed Health Inform, 25(9), 3529-3540.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/JBHI.2021.3064366.

Yue, Z., Ding, S., Yang, S., Yang, H., Li, Z., Zhang, Y., & Li, Y. (2021). Deep Super-Resolution Network for rPPG Information Recovery and Noncontact Heart Rate Estimation. IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, 70, 1-11.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/TIM.2021.3109398.

Yue, Z., Ding, S., Zhao, L., Zhang, Y., Cao, Z., Tanveer, M., Jolfaei, A., & Zheng, X. (2021). Privacy-preserving Time-series Medical Images Analysis Using a Hybrid Deep Learning Framework. ACM TRANSACTIONS ON INTERNET TECHNOLOGY, 21(3), 1-21.Association for Computing Machinery (ACM). doi: 10.1145/3383779.

Cui, J., Zhang, Y., Shi, L., Xue, C.J., Yang, J., Liu, W., & Yang, L.T. (2020). Leveraging partial-refresh for performance and lifetime improvement of 3D NAND flash memory in cyber-physical systems. JOURNAL OF SYSTEMS ARCHITECTURE, 103, 101685.Elsevier BV. doi: 10.1016/j.sysarc.2019.101685.

Deng, Q., Zhang, Y., Zhao, Z., Zhang, S., Zhang, M., & Yang, J. (2020). FRF: Toward Warp-Scheduler Friendly STT-RAM/SRAM Fine-Grained Hybrid GPGPU Register File Design. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 39(10), 2396-2409.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/TCAD.2019.2946808.

Ding, S., Yue, Z., Yang, S., Niu, F., & Zhang, Y. (2020). A Novel Trust Model Based Overlapping Community Detection Algorithm for Social Networks. IEEE Transactions on Knowledge and Data Engineering, 32(11), 2101-2114.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/tkde.2019.2914201.

Gao, C., Shi, L., Li, Q., Liu, K., Xue, C.J., Yang, J., & Zhang, Y. (2020). Aging Capacitor Supported Cache Management Scheme for Solid-State Drives. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 39(10), 2230-2239.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/TCAD.2019.2949541.

Gao, C., Shi, L., Liu, K., Xue, C.J., Yang, J., & Zhang, Y. (2020). Boosting the Performance of SSDs via Fully Exploiting the Plane Level Parallelism. IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, 31(9), 2185-2200.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/TPDS.2020.2987894.

Li, C., Zigerelli, A., Yang, J., Zhang, Y., Ma, S., & Guo, Y. (2020). A Dynamic and Proactive GPU Preemption Mechanism Using Checkpointing. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 39(1), 75-87.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/TCAD.2018.2883906.

Wen, W., Zhao, L., Zhang, Y., & Yang, J. (2020). Exploiting In-Memory Data Patterns for Performance Improvement on Crossbar Resistive Memory. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 39(10), 2347-2360.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/TCAD.2019.2940685.

Yue, Z., Ding, S., Zhao, W., Wang, H., Ma, J., Zhang, Y., Zhang, Y. (2020). Automatic CIN Grades Prediction of Sequential Cervigram Image Using LSTM With Multistate CNN Features. IEEE JOURNAL OF BIOMEDICAL AND HEALTH INFORMATICS, 24(3), 844-854.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/JBHI.2019.2922682.

Wang, H., Ding, S., Wu, D., Zhang, Y., & Yang, S. (2019). Smart connected electronic gastroscope system for gastric cancer screening using multi-column convolutional neural networks. INTERNATIONAL JOURNAL OF PRODUCTION RESEARCH, 57(21), 6795-6806.Informa UK Limited. doi: 10.1080/00207543.2018.1464232.

Xie, M., Pan, C., Zhang, Y., Hu, J., Liu, Y., & Xue, C.J. (2019). A Novel STT-RAM-Based Hybrid Cache for Intermittently Powered Processors in IoT Devices. IEEE MICRO, 39(1), 24-32.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/MM.2018.2890257.

Chen, D., Wang, L., Su, T., & Zhang, Y. (2018). Canonical Correlation Analysis Between Residents' Living Standards and Community Management Service Levels in Rural Areas: An EmpiricalAnalysisBased on Municipal Data in Anhui Province. COMPUTATIONAL ECONOMICS, 52(4), 1053-1068.Springer Science and Business Media LLC. doi: 10.1007/s10614-017-9791-4.

Chen, Y., Ding, S., Zheng, H., Zhang, Y., & Yang, S. (2018). Exploring diffusion strategies for mHealth promotion using evolutionary game model. Applied Mathematics and Computation, 336, 148-161.Elsevier BV. doi: 10.1016/j.amc.2018.04.062.

Cui, J., Zhang, Y., Shi, L., Xue, C.J., Wu, W., & Yang, J. (2018). ApproxFTL: On the Performance and Lifetime Improvement of 3-D NAND Flash-Based SSDs. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 37(10), 1957-1970.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/TCAD.2017.2782765.

Cui, J., Zhang, Y., Wu, W., Yang, J., Wang, Y., & Huang, J. (2018). DLV: Exploiting Device Level Latency Variations for Performance Improvement on Flash Memory Storage Systems. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 37(8), 1546-1559.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/TCAD.2017.2766156.

Ding, S., Li, Y., Wu, D., Zhang, Y., & Yang, S. (2018). Time-aware cloud service recommendation using similarity-enhanced collaborative filtering and ARIMA model. Decision Support Systems, 107, 103-115.Elsevier BV. doi: 10.1016/j.dss.2017.12.012.

Ding, S., Xia, C., Wang, C., Wu, D., & Zhang, Y. (2017). Multi-objective optimization based ranking prediction for cloud service recommendation. Decision Support Systems, 101, 106-114.Elsevier BV. doi: 10.1016/j.dss.2017.06.005.

Wen, W., Yang, J., & Zhang, Y. (2017). Optimizing power efficiency for 3D stacked GPU-in-memory architecture. MICROPROCESSORS AND MICROSYSTEMS, 49, 44-53.Elsevier BV. doi: 10.1016/j.micpro.2017.01.005.

Zhang, X., Zhang, Y., Childers, B.R., & Yang, J. (2017). On the Restore Time Variations of Future DRAM Memory. ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS, 22(2), 1-24.Association for Computing Machinery (ACM). doi: 10.1145/2967609.

Wang, Z., Yang, J., Melhem, R., Childers, B., Zhang, Y., & Guo, M. (2016). Simultaneous Multikernel: Fine-Grained Sharing of GPUs. IEEE COMPUTER ARCHITECTURE LETTERS, 15(2), 113-116.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/LCA.2015.2477405.

Jiang, L., Zhao, B., Yang, J., & Zhang, Y. (2015). Constructing Large and Fast On-Chip Cache for Mobile Processors with Multilevel Cell STT-MRAM Technology. ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS, 20(4), 1-24.Association for Computing Machinery (ACM). doi: 10.1145/2764903.

Wang, R., Jiang, L., Zhang, Y., & Yang, J. (2015). SD-PCM Constructing Reliable Super Dense Phase Change Memory under Write Disturbance. ACM SIGPLAN NOTICES, 50(4), 19-31.ACM. doi: 10.1145/2694344.2694352.

Xu, Y., Zhao, B., Zhang, Y., & Yang, J. (2015). Simple Virtual Channel Allocation for High-Throughput and High-Frequency On-Chip Routers. ACM Transactions on Parallel Computing, 2(1), 1-23.Association for Computing Machinery (ACM). doi: 10.1145/2742349.

Zhao, M., Jiang, L., Shi, L., Zhang, Y., & Xue, C.J. (2015). Wear Relief for High-Density Phase Change Memory Through Cell Morphing Considering Process Variation. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 34(2), 227-237.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/TCAD.2014.2376989.

Ding, S., Yang, S., Zhang, Y., Liang, C., & Xia, C. (2014). Combining QoS prediction and customer satisfaction estimation to solve cloud service trustworthiness evaluation problems. Knowledge-Based Systems, 56, 216-225.Elsevier BV. doi: 10.1016/j.knosys.2013.11.014.

Zhang, Y., & Kulkarni, P. (2014). Foreword. ACM SIGPLAN Notices, 49(5), iii.

Zhao, B., Du, Y., Yang, J., & Zhang, Y. (2014). Erratum: Process variation-aware nonuniform cache management in a 3d die-stacked multicore processor (IEEE Transactions on Computers (2013) 62:11 (2252-2265)). IEEE Transactions on Computers, 63(2), 525-526. doi: 10.1109/TC.2014.5.

Zhou, P., Zhao, B., Yang, J., & Zhang, Y. (2014). Throughput Enhancement for Phase Change Memories. IEEE TRANSACTIONS ON COMPUTERS, 63(8), 2080-2093.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/TC.2013.76.

Jiang, L., Du, Y., Zhao, B., Zhang, Y., Childers, B.R., & Yang, J. (2013). Hardware-Assisted Cooperative Integration of Wear-Leveling and Salvaging for Phase Change Memory. ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION, 10(2), 1-25.Association for Computing Machinery (ACM). doi: 10.1145/2459316.2459318.

Jiang, L., Du, Y., Zhao, B., Zhang, Y., Childers, B.R., & Yang, J. (2013). Hardware-assisted cooperative integration of wear-leveling and salvaging for phase change memory. Transactions on Architecture and Code Optimization, 10(2). doi: 10.1145/2459316.2459.

Zhao, B., Du, Y., Yang, J., & Zhang, Y. (2013). Process Variation-Aware Nonuniform Cache Management in a 3D Die-Stacked Multicore Processor. IEEE TRANSACTIONS ON COMPUTERS, 62(11), 2252-2265.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/TC.2012.129.

Zhao, B., Yang, J., Zhang, Y., Chen, Y., & Li, H. (2013). Common-Source-Line Array: An Area Efficient Memory Architecture for Bipolar Nonvolatile Devices. ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS, 18(4), 1-18.Association for Computing Machinery (ACM). doi: 10.1145/2500459.

Lee, B.C., Zhou, P., Yang, J., Zhang, Y., Zhao, B., Ipek, E., Mutlu, O., & Burger, D. (2010). PHASE-CHANGE TECHNOLOGY AND THE FUTURE OF MAIN MEMORY. IEEE MICRO, 30(1), 131-141.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/MM.2010.24.

Li, W., & Zhang, Y. (2010). An efficient code update scheme for DSP applications in mobile embedded systems. ACM SIGPLAN Notices, 45(4), 105-114.Association for Computing Machinery (ACM). doi: 10.1145/1755951.1755904.

Yang, J., Gao, L., Zhang, Y., Chrobak, M., & Lee, H.H.S. (2010). A low-cost memory remapping scheme for address bus protection. JOURNAL OF PARALLEL AND DISTRIBUTED COMPUTING, 70(5), 443-457.Elsevier BV. doi: 10.1016/j.jpdc.2009.11.008.

Zhang, Y., Yang, J., Li, W., Wang, L., & Jin, L. (2010). An authentication scheme for locating compromised sensor nodes in WSNs. JOURNAL OF NETWORK AND COMPUTER APPLICATIONS, 33(1), 50-62.Elsevier BV. doi: 10.1016/j.jnca.2009.06.003.

Zhang, Y., Yang, J., Vu, H.T., & Wu, Y. (2010). The design and evaluation of interleaved authentication for filtering false reports in multipath routing WSNs. WIRELESS NETWORKS, 16(1), 125-140.Springer Science and Business Media LLC. doi: 10.1007/s11276-008-0119-0.

Zhou, X., Yang, J., Chrobak, M., & Zhang, Y. (2010). Performance-Aware Thermal Management via Task Scheduling. ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION, 7(1). doi: 10.1145/1746065.1736070.

Zhou, X., Yang, J., Chrobak, M., & Zhang, Y. (2010). Performance-aware thermal management via task scheduling. ACM Transactions on Architecture and Code Optimization, 7(1), 1-31.Association for Computing Machinery (ACM). doi: 10.1145/1736065.1736070.

Zhou, X., Yang, J., Xu, Y., Zhang, Y., & Zhao, J. (2010). Thermal-Aware Task Scheduling for 3D Multicore Processors. IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, 21(1), 60-71.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/TPDS.2009.27.

Allen, U., Humar, A., Limaye, A., Michaels, M., & Miller, R. (2009). Discipline of Transplant Infectious Diseases (ID). Foreword. Am J Transplant, 9 Suppl 4, S1-S2.Elsevier BV. doi: 10.1111/j.1600-6143.2009.02886.x.

Li, W., Zhang, Y., Yang, J., & Zheng, J. (2009). Towards Update-Conscious Compilation for Energy-Efficient Code Dissemination in WSNs. ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION, 6(4), 1-33.Association for Computing Machinery (ACM). doi: 10.1145/1596510.1596512.

Zhang, Y., Yang, J., & Gao, L. (2009). Supporting flexible streaming media protection through privacy-aware secure processors. COMPUTERS & ELECTRICAL ENGINEERING, 35(2), 286-299.Elsevier BV. doi: 10.1016/j.compeleceng.2008.06.001.

Lin, Y., Zhang, Y., & Gupta, R. (2007). The design and evaluation of path matching schemes on compressed control flow traces. JOURNAL OF SYSTEMS AND SOFTWARE, 80(3), 396-409.Elsevier BV. doi: 10.1016/j.jss.2006.06.007.

Zhang, Y., & Gupta, R. (2006). Compressing heap data for improved memory performance. Software: Practice and Experience, 36(10), 1081-1111.Wiley. doi: 10.1002/spe.729.

Yang, J., Gao, L., & Zhang, Y.T. (2005). Improving memory encryption performance in secure processors. IEEE TRANSACTIONS ON COMPUTERS, 54(5), 630-640.Institute of Electrical and Electronics Engineers (IEEE). doi: 10.1109/TC.2005.80.

Yang, J., Yu, J., & Zhang, Y.T. (2005). A low energy cache design for multimedia applications exploiting set access locality. JOURNAL OF SYSTEMS ARCHITECTURE, 51(10-11), 653-664.Elsevier BV. doi: 10.1016/j.sysarc.2005.02.003.

Zhang, X., Gupta, R., & Zhang, Y. (2005). Cost and precision tradeoffs of dynamic data slicing algorithms. ACM Transactions on Programming Languages and Systems, 27(4), 631-661.Association for Computing Machinery (ACM). doi: 10.1145/1075382.1075384.

Gupta, R., Mehofer, E., & Zhang, Y. (2002). The Compiler Design Handbook. In The Compiler Design Handbook: Optimizations and Machine Code Generation. (pp. 143-174).CRC Press. doi: 10.1201/9781420040579.

Zhang, Y., & Gupta, R. (2001). Timestamped whole program path representation and its applications. ACM SIGPLAN Notices, 36(5), 180-190.Association for Computing Machinery (ACM). doi: 10.1145/381694.378835.

Zhang, Y., Yang, J., & Gupta, R. (2000). Frequent value locality and value-centric data cache design. ACM SIGOPS Operating Systems Review, 34(5), 150-159.Association for Computing Machinery (ACM). doi: 10.1145/384264.379235.

Zhang, Y., Yang, J., & Gupta, R. (2000). Frequent value locality and value-centric data cache design. ACM SIGPLAN Notices, 35(11), 150-159.Association for Computing Machinery (ACM). doi: 10.1145/356989.357003.

Gao, C., Xin, X., Lu, Y., Zhang, Y., Yang, J., & Shu, J. (2021). ParaBit: Processing Parallel Bitwise Operations in NAND Flash Memory based SSDs. In MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture, (pp. 59-70).ACM. doi: 10.1145/3466752.3480078.

Guo, Y., Zigerelli, A., Zhang, Y., & Yang, J. (2021). IVcache. In Proceedings of the 2021 on Great Lakes Symposium on VLSI, (pp. 403-408).ACM. doi: 10.1145/3453688.3461481.

Hua, F., Chen, Y., Jin, Y., Zhang, C., Hayes, A., Zhang, Y., & Zhang, E.Z. (2021). AutoBraid: A Framework for Enabling Efficient Surface Code Communication in Quantum Computing. In MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture, (pp. 925-936).ACM. doi: 10.1145/3466752.3480072.

Li, B., Yin, J., Zhang, Y., & Tang, X. (2021). Improving Address Translation in Multi-GPUs via Sharing and Spilling aware TLB Design. In MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture, (pp. 1154-1168).ACM. doi: 10.1145/3466752.3480083.

Xin, X., Guo, Y., Zhang, Y., & Yang, J. (2021). SAM: Accelerating Strided Memory Accesses. In MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture, (pp. 324-336).ACM. doi: 10.1145/3466752.3480091.

Xu, W., Zhang, Y., & Tang, X. (2021). Parallelizing DNN Training on GPUs: Challenges and Opportunities. In Companion Proceedings of the Web Conference 2021, (pp. 174-178).ACM. doi: 10.1145/3442442.3452055.

Nie, S., Zhang, Y., Wu, W., & Yang, J. (2020). Layer RBER Variation Aware Read Performance Optimization for 3D Flash Memories. In 2020 57th ACM/IEEE Design Automation Conference (DAC), 2020-July.IEEE. doi: 10.1109/dac18072.2020.9218631.

Wen, W., Zhang, Y., & Yang, J. (2020). Accelerating 3D vertical resistive memories with opportunistic write latency reduction. In Proceedings of the 39th International Conference on Computer-Aided Design, 2020-November.ACM. doi: 10.1145/3400302.3415677.

Xin, X., Zhang, Y., & Yang, J. (2020). ELP2IM: Efficient and Low Power Bitwise Operation Processing in DRAM. In 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA), (pp. 303-314).IEEE. doi: 10.1109/hpca47549.2020.00033.

Xin, X., Zhang, Y., & Yang, J. (2020). Reducing DRAM Access Latency via Helper Rows. In 2020 57th ACM/IEEE Design Automation Conference (DAC), 2020-July.IEEE. doi: 10.1109/dac18072.2020.9218719.

Zhao, L., Zhang, Y., & Yang, J. (2020). SCA: A Secure CNN Accelerator for Both Training and Inference. In 2020 57th ACM/IEEE Design Automation Conference (DAC), 2020-July.IEEE. doi: 10.1109/dac18072.2020.9218752.

Chen, Z., Deng, Q., Xiao, N., Pruhs, K., & Zhang, Y. (2019). DWMAcc. In ACM Transactions on Embedded Computing Systems, 18(5s), (pp. 1-19).Association for Computing Machinery (ACM). doi: 10.1145/3358199.

Deng, Q., Zhang, Y., Zhang, M., & Yang, J. (2019). LAcc. In Proceedings of the 56th Annual Design Automation Conference 2019.ACM. doi: 10.1145/3316781.3317845.

Gao, C., Shi, L., Xue, C.J., Ji, C., Yang, J., & Zhang, Y. (2019). Parallel all the time: Plane Level Parallelism Exploration for High Performance SSDs. In 2019 35th Symposium on Mass Storage Systems and Technologies (MSST), 2019-May, (pp. 172-184).IEEE. doi: 10.1109/msst.2019.000-5.

Gao, C., Ye, M., Li, Q., Xue, C.J., Zhang, Y., Shi, L., & Yang, J. (2019). Constructing Large, Durable and Fast SSD System via Reprogramming 3D TLC Flash Memory. In Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, (pp. 493-505).ACM. doi: 10.1145/3352460.3358323.

Li, C., Ausavarungnirun, R., Rossbach, C.J., Zhang, Y., Mutlu, O., Guo, Y., & Yang, J. (2019). A Framework for Memory Oversubscription Management in Graphics Processing Units. In Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, (pp. 49-63).ACM. doi: 10.1145/3297858.3304044.

Li, Q., Shi, L., Yang, J., Zhang, Y., & Xue, C.J. (2019). Leveraging Approximate Data for Robust Flash Storage. In Proceedings of the 56th Annual Design Automation Conference 2019.ACM. doi: 10.1145/3316781.3317848.

Liu, L., Wang, R., Zhang, Y., & Yang, J. (2019). H-ORAM. In Proceedings of the 56th Annual Design Automation Conference 2019.ACM. doi: 10.1145/3316781.3317841.

Nie, S., Zhang, Y., Wu, W., Zhang, C., & Yang, J. (2019). DIR: Dynamic Request Interleaving for Improving the Read Performance of Aged SSDs. In 2019 IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA).IEEE. doi: 10.1109/nvmsa.2019.8863520.

Raoufi, M., Deng, Q., Zhang, Y., & Yang, J. (2019). PageCmp: Bandwidth Efficient Page Deduplication through In-memory Page Comparison. In 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2019-July, (pp. 82-87).IEEE. doi: 10.1109/isvlsi.2019.00023.

Wen, W., Zhang, Y., & Yang, J. (2019). ReNEW: Enhancing Lifetime for ReRAM Crossbar Based Neural Network Accelerators. In 2019 IEEE 37th International Conference on Computer Design (ICCD), (pp. 487-496).IEEE. doi: 10.1109/iccd46524.2019.00074.

Xin, X., Zhang, Y., & Yang, J. (2019). ROC. In Proceedings of the 56th Annual Design Automation Conference 2019.ACM. doi: 10.1145/3316781.3317900.

Zhang, X., Wang, R., Zhang, Y., & Yang, J. (2019). Boosting chipkill capability under retention-error induced reliability emergency. In Proceedings of the 24th Asia and South Pacific Design Automation Conference, (pp. 438-443).ACM. doi: 10.1145/3287624.3287639.

Zhao, L., Deng, Q., Zhang, Y., & Yang, J. (2019). RFAcc. In Proceedings of the ACM International Conference on Supercomputing, (pp. 473-483).ACM. doi: 10.1145/3330345.3330387.

Cui, J., Zhang, Y., Huang, J., Wu, W., & Yang, J. (2018). ShadowGC: Cooperative garbage collection with multi-level buffer for performance improvement in NAND flash-based SSDs. In 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2018-January, (pp. 1247-1252).IEEE. doi: 10.23919/date.2018.8342206.

Deng, Q., Jiang, L., Zhang, Y., Zhang, M., & Yang, J. (2018). DrAcc. In Proceedings of the 55th Annual Design Automation Conference, Part F137710.ACM. doi: 10.1145/3195970.3196029.

Garrett, T., Yang, J., & Zhang, Y. (2018). Enabling Intra-Plane Parallel Block Erase in NAND Flash to Alleviate the Impact of Garbage Collection. In Proceedings of the International Symposium on Low Power Electronics and Design.ACM. doi: 10.1145/3218603.3218627.

Wang, R., Zhang, Y., & Yang, J. (2018). D-ORAM: Path-ORAM Delegation for Low Execution Interference on Cloud Servers with Untrusted Memory. In 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA), 2018-February, (pp. 416-427).IEEE. doi: 10.1109/hpca.2018.00043.

Wen, W., Zhang, Y., & Yang, J. (2018). Wear leveling for crossbar resistive memory. In Proceedings of the 55th Annual Design Automation Conference, Part F137710.ACM. doi: 10.1145/3195970.3196138.

Deng, Q., Zhang, Y., Zhang, M., & Yang, J. (2017). Towards warp-scheduler friendly STT-RAM/SRAM hybrid GPGPU register file design. In 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2017-November, (pp. 736-742).IEEE. doi: 10.1109/iccad.2017.8203850.

Lei Zhao, Lei Jiang, Youtao Zhang, Nong Xiao, & Jun Yang. (2017). Constructing fast and energy efficient 1TnR based ReRAM crossbar memory. In 2017 18th International Symposium on Quality Electronic Design (ISQED), (pp. 58-64).IEEE. doi: 10.1109/isqed.2017.7918293.

Wang, R., Zhang, Y., & Yang, J. (2017). Cooperative Path-ORAM for Effective Memory Bandwidth Sharing in Server Settings. In 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA), (pp. 325-336).IEEE. doi: 10.1109/hpca.2017.9.

Wang, Z., Yang, J., Melhem, R., Childers, B., Zhang, Y., & Guo, M. (2017). Quality of Service Support for Fine-Grained Sharing on GPUs. In Proceedings of the 44th Annual International Symposium on Computer Architecture, Part F128643, (pp. 269-281).ACM. doi: 10.1145/3079856.3080203.

Wen, W., Zhang, Y., & Yang, J. (2017). Read Error Resilient MLC STT-MRAM Based Last Level Cache. In 2017 IEEE International Conference on Computer Design (ICCD), (pp. 455-462).IEEE. doi: 10.1109/iccd.2017.80.

Wen, W., Zhao, L., Zhang, Y., & Yang, J. (2017). Speeding up crossbar resistive memory by exploiting in-memory data patterns. In 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2017-November, (pp. 261-267).IEEE. doi: 10.1109/iccad.2017.8203787.

Zhang, X., Zhang, Y., Childers, B.R., & Yang, J. (2017). DrMP: Mixed Precision-Aware DRAM for High Performance Approximate and Precise Computing. In 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT), 2017-September, (pp. 53-63).IEEE. doi: 10.1109/pact.2017.34.

Zhao, L., Zhang, Y., & Yang, J. (2017). Mitigating shift-based covert-channel attacks in racetrack last level caches. In 2017 IEEE 6th Non-Volatile Memory Systems and Applications Symposium (NVMSA).IEEE. doi: 10.1109/nvmsa.2017.8064476.

Zhao, L., Zhang, Y., & Yang, J. (2017). AEP: An error-bearing neural network accelerator for energy efficiency and model protection. In 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2017-November, (pp. 1047-1053).IEEE. doi: 10.1109/iccad.2017.8203897.

Zhao, L., Zhang, Y., & Yang, J. (2017). AEP: An error-bearing neural network accelerator for energy efficiency and model protection. In 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2017-November, (pp. 765-771).IEEE. doi: 10.1109/iccad.2017.8203854.

Wang, R., Zhang, Y., & Yang, J. (2016). ReadDuo: Constructing Reliable MLC Phase Change Memory through Fast and Robust Readout. In 2016 46th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), (pp. 203-214).IEEE. doi: 10.1109/dsn.2016.27.

Wang, Z., Yang, J., Melhem, R., Childers, B., Zhang, Y., & Guo, M. (2016). Simultaneous Multikernel GPU: Multi-tasking throughput processors via fine-grained sharing. In 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA), 2016-April, (pp. 358-369).IEEE. doi: 10.1109/hpca.2016.7446078.

Wu, Y., Zhu, M., Li, D., Zhang, Y., & Wang, Y. (2016). Brain stroke localization by using microwave-based signal classification. In 2016 International Conference on Electromagnetics in Advanced Applications (ICEAA), (pp. 828-831).IEEE. doi: 10.1109/iceaa.2016.7731527.

Xie, M., Zhao, M., Pan, C., Li, H., Liu, Y., Zhang, Y., Xue, C.J., & Hu, J. (2016). Checkpoint aware hybrid cache architecture for NV processor in energy harvesting powered systems. In Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis.ACM. doi: 10.1145/2968456.2968477.

Yizhi Wu, Xiongbing Wang, Wang, Y., Rashid, S., Yongsheng Ding, & Youtao Zhang. (2016). The design and optimization of ultra wideband antenna based on particle swarm algorithm. In 2016 IEEE International Conference on Computational Electromagnetics (ICCEM), (pp. 205-207).IEEE. doi: 10.1109/compem.2016.7588634.

Zhang, C., Ahn, W., Zhang, Y., & Childers, B.R. (2016). Live code update for IoT devices in energy harvesting environments. In 2016 5th Non-Volatile Memory Systems and Applications Symposium (NVMSA).IEEE. doi: 10.1109/nvmsa.2016.7547182.

Zhang, X., Zhang, Y., Childers, B., & Yang, J. (2016). AWARD. In Proceedings of the Second International Symposium on Memory Systems, 03-06-October-2016, (pp. 322-324).ACM. doi: 10.1145/2989081.2989127.

Zhang, X., Zhang, Y., Childers, B.R., & Yang, J. (2016). Restore truncation for performance improvement in future DRAM systems. In 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA), 2016-April, (pp. 543-554).IEEE. doi: 10.1109/hpca.2016.7446093.

Childers, B.R., Yang, J., & Zhang, Y. (2015). Achieving Yield, Density and Performance Effective DRAM at Extreme Technology Sizes. In Proceedings of the 2015 International Symposium on Memory Systems, 05-08-October-2015, (pp. 78-84).ACM. doi: 10.1145/2818950.2818963.

Eken, E., Zhang, Y., Yan, B., Wu, W., Li, H., & Chen, Y. (2015). Spin-hall assisted STT-RAM design and discussion. In 2015 IEEE Magnetics Conference (INTERMAG).IEEE. doi: 10.1109/intmag.2015.7156644.

Wang, R., Jiang, L., Zhang, Y., Wang, L., & Yang, J. (2015). Exploit imbalanced cell writes to mitigate write disturbance in dense phase change memory. In Proceedings of the 52nd Annual Design Automation Conference, 2015-July.ACM. doi: 10.1145/2744769.2744841.

Wang, R., Jiang, L., Zhang, Y., Wang, L., & Yang, J. (2015). Selective restore. In Proceedings of the 52nd Annual Design Automation Conference, 2015-July.ACM. doi: 10.1145/2744769.2744908.

Wu, Y., & Zhang, Y. (2015). GA Based Placement Optimization for Hybrid Distributed Storage. In 2015 IEEE 17th International Conference on High Performance Computing and Communications, 2015 IEEE 7th International Symposium on Cyberspace Safety and Security, and 2015 IEEE 12th International Conference on Embedded Software and Systems, (pp. 198-203).IEEE. doi: 10.1109/hpcc-css-icess.2015.89.

Wu, Y., Zhou, Y., Wang, Y., & Zhang, Y. (2015). High-resolution microwave stroke detection system based on signal similarity algorithm. In 2015 Asia-Pacific Microwave Conference (APMC), 3.IEEE. doi: 10.1109/apmc.2015.7413411.

Zhang, X., Zhang, Y., & Yang, J. (2015). DLB: Dynamic lane borrowing for improving bandwidth and performance in Hybrid Memory Cube. In 2015 33rd IEEE International Conference on Computer Design (ICCD), (pp. 125-132).IEEE. doi: 10.1109/iccd.2015.7357093.

Zhang, X., Zhang, Y., & Yang, J. (2015). TriState-SET: Proactive SET for improved performance of MLC phase change memories. In 2015 33rd IEEE International Conference on Computer Design (ICCD), (pp. 659-665).IEEE. doi: 10.1109/iccd.2015.7357178.

Zhang, X., Zhang, Y., Childers, B.R., & Yang, J. (2015). Exploiting DRAM Restore Time Variations in Deep Sub-micron Scaling. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015, 2015-April, (pp. 477-482).IEEE Conference Publications. doi: 10.7873/date.2015.0969.

Zhang, X., Zhao, L., Zhang, Y., & Yang, J. (2015). Exploit common source-line to construct energy efficient domain wall memory based caches. In 2015 33rd IEEE International Conference on Computer Design (ICCD), (pp. 157-163).IEEE. doi: 10.1109/iccd.2015.7357097.

Du, Y., Zhang, Y., & Xiao, N. (2014). Dual-Page Mode: Exploring Parallelism in MLC Flash SSDs. In 2014 IEEE Intl Conf on High Performance Computing and Communications, 2014 IEEE 6th Intl Symp on Cyberspace Safety and Security, 2014 IEEE 11th Intl Conf on Embedded Software and Syst (HPCC,CSS,ICESS), (pp. 1016-1023).IEEE. doi: 10.1109/hpcc.2014.170.

Du, Y., Zhang, Y., & Xiao, N. (2014). R-Dedup: Content Aware Redundancy Management for SSD-Based RAID Systems. In 2014 43rd International Conference on Parallel Processing, 2014-November(November), (pp. 111-120).IEEE. doi: 10.1109/icpp.2014.20.

Du, Y., Zhang, Y., Xiao, N., & Liu, F. (2014). CD-RAIS: Constrained dynamic striping in redundant array of independent SSDs. In 2014 IEEE International Conference on Cluster Computing (CLUSTER), (pp. 212-220).IEEE. doi: 10.1109/cluster.2014.6968742.

Jiang, L., Zhang, Y., & Yang, J. (2014). Mitigating Write Disturbance in Super-Dense Phase Change Memories. In 2014 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, (pp. 216-227).IEEE. doi: 10.1109/dsn.2014.32.

Jiang, L., Zhao, B., Yang, J., & Zhang, Y. (2014). A low power and reliable charge pump design for Phase Change Memories. In 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA), (pp. 397-408).IEEE. doi: 10.1109/isca.2014.6853194.

Zhao, M., Jiang, L., Zhang, Y., & Xue, C.J. (2014). SLC-enabled Wear Leveling for MLC PCM Considering Process Variation. In Proceedings of the 51st Annual Design Automation Conference.ACM. doi: 10.1145/2593069.2593217.

Guo, J., Yang, J., Zhang, Y., & Chen, Y. (2013). Low Cost Power Failure Protection for MLC NAND Flash Storage Systems with PRAM/DRAM Hybrid Buffer. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, (pp. 859-864).IEEE Conference Publications. doi: 10.7873/date.2013.181.

Li, Q., Jiang, L., Zhang, Y., & He, Y. (2013). Compiler Directed Write-Mode Selection for High Performance Low Power Volatile PCM. In ACM SIGPLAN NOTICES, 48(5), (pp. 101-110).Association for Computing Machinery (ACM). doi: 10.1145/2499369.2465564.

Li, Q., Jiang, L., Zhang, Y., He, Y., & Xue, C.J. (2013). Compiler directed write-mode selection for high performance low power volatile PCM. In Proceedings of the 14th ACM SIGPLAN/SIGBED conference on Languages, compilers and tools for embedded systems, (pp. 101-110).ACM. doi: 10.1145/2465554.2465564.

Zhao, B., Zhang, Y., & Yang, J. (2013). A speculative arbiter design to enable high-frequency many-VC router in NoCs. In 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS), (pp. 1-8).IEEE. doi: 10.1109/nocs.2013.6558415.

Zhou, P., Zhang, Y., & Yang, J. (2013). The Design of Sustainable Wireless Sensor Network Node Using Solar Energy and Phase Change Memory. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, (pp. 869-872).IEEE Conference Publications. doi: 10.7873/date.2013.183.

Jiang, L., Zhang, Y., & Yang, J. (2012). ER. In Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design, (pp. 39-44).ACM. doi: 10.1145/2333660.2333672.

Jiang, L., Zhang, Y., Childers, B.R., & Yang, J. (2012). FPB: Fine-grained Power Budgeting to Improve Write Throughput of Multi-level Cell Phase Change Memory. In 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture, (pp. 1-12).IEEE. doi: 10.1109/micro.2012.10.

Jiang, L., Zhao, B., Zhang, Y., & Yang, J. (2012). Constructing large and fast multi-level cell STT-MRAM based cache for embedded processors. In Proceedings of the 49th Annual Design Automation Conference, (pp. 907-912).ACM. doi: 10.1145/2228360.2228521.

Jiang, L., Zhao, B., Zhang, Y., Yang, J., & Childers, B.R. (2012). Improving write operations in MLC phase change memory. In IEEE International Symposium on High-Performance Comp Architecture, (pp. 201-210).IEEE. doi: 10.1109/hpca.2012.6169027.

Zhao, B., Yang, J., Zhang, Y., Chen, Y., & Li, H. (2012). Architecting a common-source-line array for bipolar non-volatile memory devices. In Proceedings -Design, Automation and Test in Europe, DATE, (pp. 1451-1454).

Bock, S., Childers, B., Melhem, R., Mosse, D., & Zhang, Y. (2011). Analyzing the impact of useless write-backs on the endurance and energy consumption of PCM main memory. In (IEEE ISPASS) IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE, (pp. 56-65).IEEE. doi: 10.1109/ispass.2011.5762715.

Jiang, L., Du, Y., Zhang, Y., Childers, B.R., & Yang, J. (2011). LLS: Cooperative integration of wear-leveling and salvaging for PCM main memory. In 2011 IEEE/IFIP 41st International Conference on Dependable Systems & Networks (DSN), (pp. 221-232).IEEE. doi: 10.1109/dsn.2011.5958221.

Jiang, L., Zhang, Y., & Yang, J. (2011). Enhancing phase change memory lifetime through fine-grained current regulation and voltage upscaling. In IEEE/ACM International Symposium on Low Power Electronics and Design, (pp. 127-132).IEEE. doi: 10.1109/islped.2011.5993624.

Li, L., Zhang, Y., & Yang, J. (2011). Proactive recovery for BTI in high-k SRAM cells. In Proceedings -Design, Automation and Test in Europe, DATE, (pp. 992-997).

Xu, Y., Du, Y., Zhang, Y., & Yang, J. (2011). A composite and scalable cache coherence protocol for large scale CMPs. In Proceedings of the international conference on Supercomputing, (pp. 285-294).ACM. doi: 10.1145/1995896.1995941.

Xue, C.J., Zhang, Y., Chen, Y., Sun, G., Yang, J.J., & Li, H. (2011). Emerging non-volatile memories. In Proceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, (pp. 325-334).ACM. doi: 10.1145/2039370.2039420.

Zhao, Y., Zhang, Y., Qin, Z., & Znati, T. (2011). A co-commitment based secure data collection scheme for tiered wireless sensor networks. In JOURNAL OF SYSTEMS ARCHITECTURE, 57(6), (pp. 655-662).Elsevier BV. doi: 10.1016/j.sysarc.2010.05.010.

Zhou, P., Zhao, B., Zhang, Y., Yang, J., & Chen, Y. (2011). MRAC: A Memristor-based Reconfigurable Framework for Adaptive Cache Replacement. In 2011 International Conference on Parallel Architectures and Compilation Techniques, (pp. 207-208).IEEE. doi: 10.1109/pact.2011.29.

Li, L., Zhang, Y., Yang, J., & Zhao, J. (2010). Proactive NBTI mitigation for busy functional units in out-of-order microprocessors. In Proceedings -Design, Automation and Test in Europe, DATE, (pp. 411-416).

Li, W., & Zhang, Y. (2010). An efficient code update scheme for DSP applications in mobile embedded systems. In Proceedings of the ACM SIGPLAN/SIGBED 2010 conference on Languages, compilers, and tools for embedded systems, (pp. 105-114).ACM. doi: 10.1145/1755888.1755904.

Xu, Y., Zhao, B., Zhang, Y., & Yang, J. (2010). Simple virtual channel allocation for high throughput and high frequency on-chip routers. In HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture, (p. 11 pages).IEEE. doi: 10.1109/hpca.2010.5416640.

Zhou, P., Du, Y., Zhang, Y., & Yang, J. (2010). Fine-grained QoS scheduling for PCM-based main memory systems. In 2010 IEEE International Symposium on Parallel & Distributed Processing (IPDPS), (p. 12 pages).IEEE. doi: 10.1109/ipdps.2010.5470451.

Li, W., Zhang, Y., & Childers, B. (2009). MCP: An Energy-Efficient Code Distribution Protocol for Multi-Application WSNs. In Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), 5516 LNCS, (pp. 259-272).Springer Berlin Heidelberg. doi: 10.1007/978-3-642-02085-8_19.

Ping Zhou, Bo Zhao, Yu Du, Yi Xu, Youtao Zhang, Yang, J., & Li Zhao. (2009). Frequent value compression in packet-based NoC architectures. In 2009 Asia and South Pacific Design Automation Conference, (pp. 13-18).IEEE. doi: 10.1109/aspdac.2009.4796434.

Yi Xu, Yu Du, Bo Zhao, Xiuyi Zhou, Youtao Zhang, & Yang, J. (2009). A low-radix and low-diameter 3D interconnection network design. In 2009 IEEE 15th International Symposium on High Performance Computer Architecture, (pp. 30-41).IEEE. doi: 10.1109/hpca.2009.4798234.

Zhao, B., Du, Y., Zhang, Y., & Yang, J. (2009). Variation-tolerant non-uniform 3D cache management in die stacked multicore processor. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, (pp. 222-231).ACM. doi: 10.1145/1669112.1669141.

Zhao, Y., Qin, Z., Zhang, Y., & Znati, T. (2009). SDC: Secure Data Collection for Time Based Queries in Tiered Wireless Sensor Networks. In 2009 15th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, (pp. 255-262).IEEE. doi: 10.1109/rtcsa.2009.35.

Zhou, P., Zhao, B., Yang, J., & Zhang, Y. (2009). A durable and energy efficient main memory using phase change memory technology. In Proceedings of the 36th annual international symposium on Computer architecture, (pp. 14-23).ACM. doi: 10.1145/1555754.1555759.

Zhou, P., Zhao, B., Yang, J., & Zhang, Y. (2009). Energy reduction for STT-RAM using early write termination. In Proceedings of the 2009 International Conference on Computer-Aided Design, (pp. 264-268).ACM. doi: 10.1145/1687399.1687448.

Li, W., Du, Y., Zhang, Y., Childers, B., Zhou, P., & Yang, J. (2008). Adaptive Buffer Management for Efficient Code Dissemination in Multi-Application Wireless Sensor Networks. In 2008 IEEE/IFIP International Conference on Embedded and Ubiquitous Computing, 1, (pp. 295-301).IEEE. doi: 10.1109/euc.2008.160.

Yang, J., Zhou, X., Chrobak, M., Zhang, Y., & Jin, L. (2008). Dynamic Thermal Management through Task Scheduling. In ISPASS 2008 - IEEE International Symposium on Performance Analysis of Systems and software, (pp. 191-201).IEEE. doi: 10.1109/ispass.2008.4510751.

Youtao Zhang, Jun Yang, & Weijia Li. (2008). Towards energy-efficient code dissemination in wireless sensor networks. In 2008 IEEE International Symposium on Parallel and Distributed Processing.IEEE. doi: 10.1109/ipdps.2008.4536396.

Zhou, X., Xu, Y., Du, Y., Zhang, Y., & Yang, J. (2008). Thermal Management for 3D Processors via Task Scheduling. In 2008 37th International Conference on Parallel Processing, (pp. 115-122).IEEE. doi: 10.1109/icpp.2008.51.

Li, W., Zhang, Y., Yang, J., & Zheng, J. (2007). UCC: Update-conscious compilation for energy efficiency in wireless sensor networks. In ACM SIGPLAN NOTICES, 42(6), (pp. 383-393).Association for Computing Machinery (ACM). doi: 10.1145/1273442.1250778.

Li, W., Zhang, Y., Yang, J., & Zheng, J. (2007). UCC. In Proceedings of the 28th ACM SIGPLAN Conference on Programming Language Design and Implementation, (pp. 383-393).ACM. doi: 10.1145/1250734.1250778.

Gao, L., Yang, J., Chrobak, M., Zhang, Y., Nguyen, S., & Lee, H.H.S. (2006). A low-cost memory remapping scheme for address bus protection. In Proceedings of the 15th international conference on Parallel architectures and compilation techniques, 2006, (pp. 74-83).ACM. doi: 10.1145/1152154.1152169.

Jin, L., Wu, W., Yang, J., Zhang, C., & Zhang, Y. (2006). Reduce Register Files Leakage Through Discharging Cells. In 2006 International Conference on Computer Design, (pp. 114-119).IEEE. doi: 10.1109/iccd.2006.4380803.

Li, W., Zhang, Y., & Yang, J. (2006). Dynamic Authentication-Key Re-assignment for Reliable Report Delivery. In 2006 IEEE International Conference on Mobile Ad Hoc and Sensor Sysetems, 1, (pp. 467-476).IEEE. doi: 10.1109/mobhoc.2006.278587.

Weidong Shi, Fryman, J.B., Guofei Gu, Hsien Hsin S. Lee, Youtao Zhang, & Jun Yang. (2006). InfoShield: A Security Architecture for Protecting Information Usage in Memory. In The Twelfth International Symposium on High-Performance Computer Architecture, 2006., 2006, (pp. 225-234).IEEE. doi: 10.1109/hpca.2006.1598131.

Youtao Zhang, Jim Yang, & Vu, H.T. (2006). The interleaved authentication for filtering false reports in multipath routing based sensor networks. In Proceedings 20th IEEE International Parallel & Distributed Processing Symposium, 2006.IEEE. doi: 10.1109/ipdps.2006.1639330.

Zhang, Y., Yang, J., & Gao, L. (2006). Efficient Group KeyManagement with Tamper-resistant ISA Extensions. In IEEE 17th International Conference on Application-specific Systems, Architectures and Processors (ASAP'06), (pp. 269-274).IEEE. doi: 10.1109/asap.2006.30.

Zhang, Y., Yang, J., Jin, L., & Li, W. (2006). Locating compromised sensor nodes through incremental hashing authentication. In DISTRIBUTED COMPUTING IN SENSOR SYSTEMS, PROCEEDINGS, 4026, (pp. 321-337).Springer Berlin Heidelberg. doi: 10.1007/11776178_20.

Jin, L.L., Wu, W., Yang, J., Zhang, C.J., & Zhang, Y.T. (2005). Dynamic co-allocation of level one caches. In EMBEDDED SOFTWARE AND SYSTEMS, PROCEEDINGS, 3820, (pp. 373-385).Springer Berlin Heidelberg. doi: 10.1007/11599555_36.

Li, B., Zhang, Y., & Gupta, R. (2005). Speculative Subword Register Allocation in Embedded Processors. In Lecture Notes in Computer Science, 3602, (pp. 56-71).Springer Berlin Heidelberg. doi: 10.1007/11532378_6.

Lin, Y., & Zhang, Y. (2005). Performance comparison of path matching algorithms over compressed control flow traces. In Data Compression Conference Proceedings, (pp. 113-122).

Lin, Y., Zhang, Y., Li, Q., & Yang, J. (2005). Supporting efficient query processing on compressed XML files. In Proceedings of the 2005 ACM symposium on Applied computing, 1, (pp. 660-665).ACM. doi: 10.1145/1066677.1066827.

Youtao Zhang, Lan Gao, Jun Yang, Xiangyu Zhang, & Gupta, R. (2005). SENSS: Security Enhancement to Symmetric Shared Memory Multiprocessors. In 11th International Symposium on High-Performance Computer Architecture, (pp. 352-362).IEEE. doi: 10.1109/hpca.2005.31.

Li, G., Zhang, Y., Lin, Y., & Huang, Y. (2004). Scalable duplication strategy with bounded availability of processors. In Proceedings of the International Conference on Parallel and Distributed Systems - ICPADS, 10, (pp. 267-274).

Zhang, X., Gupta, R., & Zhang, Y. (2004). Efficient forward computation of dynamic slices using reduced ordered binary decision diagrams. In Proceedings - International Conference on Software Engineering, 26, (pp. 502-511).

Yanq, J., Yu, J., & Zhang, Y. (2003). Lightweight Set Buffer: Low Power Data Cache for Multimedia Application. In Proceedings of the International Symposium on Low Power Electronics and Design, (pp. 270-273).

Youtao Zhang, & Jun Yang. (2003). Low cost instruction cache designs for tag comparison elimination. In Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03., 2003-January, (pp. 266-269).ACM. doi: 10.1109/lpe.2003.1231874.

Zhang, X., Gupta, R., & Zhang, Y. (2003). Precise dynamic slicing algorithms. In Proceedings - International Conference on Software Engineering, (pp. 319-329).

Zhang, Y., & Yang, J. (2003). Low cost instruction cache designs for tag comparison elimination. In Proceedings of the 2003 international symposium on Low power electronics and design - ISLPED '03, (pp. 266-269).ACM Press. doi: 10.1145/871568.871572.

Gupta, R., Mehofer, E., & Zhang, Y. (2002). A Representation for Bit Section Based Analysis and Optimization. In Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), 2304, (pp. 62-77).Springer Berlin Heidelberg. doi: 10.1007/3-540-45937-5_7.

Youtao Zhang, & Gupta, R. (2002). Path matching in compressed control flow traces. In Proceedings DCC 2002. Data Compression Conference, 2002-January, (pp. 132-141).IEEE Comput. Soc. doi: 10.1109/dcc.2002.999951.

Zhang, Y., & Gupta, R. (2002). Data Compression Transformations for Dynamically Allocated Data Structures. In Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), 2304, (pp. 14-28).Springer Berlin Heidelberg. doi: 10.1007/3-540-45937-5_4.

Zhang, Y., & Gupta, R. (2001). Timestamped whole program path representation and its applications. In Proceedings of the ACM SIGPLAN 2001 conference on Programming language design and implementation, (pp. 180-190).ACM. doi: 10.1145/378795.378835.

Jun Yang, Youtao Zhang, & Gupta, R. (2000). Frequent value compression in data caches. In Proceedings 33rd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-33 2000, (pp. 258-265).IEEE. doi: 10.1109/micro.2000.898076.

Zhang, Y.T., Yang, J., & Gupta, R. (2000). Frequent value locality and value-centric data cache design. In ACM SIGPLAN NOTICES, 35(11), (pp. 150-159). doi: 10.1145/356989.357003.